7-00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111000001100000000000000000000011111111100011111110001000000000000000000000000111111111111111111111000100000111111111111111111100001111111000000001111000100000100000000010000000000001111111111111000000010000011000000000000000011000111111000000000001100010000111100000011110000000000100011111000000000001111111111111111111011111000000000000000000000010001000000000000000000000000000010000000000000000000100000000000010000101000000001111111111111111111000100000011110000001111000000000100000000000000000010000000000001000010110000000111100000000000000100010000001111000000111100000000010000000000000000001000000000000010001010000000111100000000000000010001000000000000000000000000000010000000000011111000100011111000010000101000000001110000000110000001000000000000111100000011110000001000111110001000000010011000110001000010110000000110000001110000100100010000000000100000000010000000111111111111101000001001010101000100001010000000011000001110000010010000000000000000000000000000111111111111111110111000111101110100001000101000000001100000110000111001000111111111111111111111111111111111111111111011111110010101010001000010110000001100000111000010100100010000000001000000000000000010000001000000101110001001000011000100001010000000010000011000001010010001001111100100111111111111001000000100000010100000100001111000010000101100000001000001100000111001100101000001010100000000000010100000010000001000000010000010000000100001000000000000001110000010100100010100100101010000000000001010000000000000101000001000001000000100000000000000000000110000001010010011010100010101000000000000101000000111110011100000100000100000010000000000000000000011000000111001000101010001010100000000010010100000010001001110000010111111111111111111111110000000011100000010100100010100111001010000000001000010000001000100111000001000000000000100001111000000000001100000001110010001010000000101000000000100001000000111110011100000100000000000010000111100000000000110000000101001000100111111100100000100011111100000000001001110000010000000000000100011100000000000111111111011100100010000000000010000010001111110000001111100010000001000111110000100001110000000000011000000000000010001111111111111111111000100001000000100010000000000100110001100010000110000000000001100001111111111000110000000000000000100010000100000011111111111100010010111010001000011000010000001110000111111111100011111111111111100010001000010000000000100000000001111000001000010001100001000000110000010000000000001100000000000000001000000001111110111111111111111100101110100010000110001100000011000001000000000000111111111111110000111110000100000000001010101010010011000110001000011000110000001100000100000000000010000000000000000010000000010000000000010101010001000111110000100001000011000000110000010011111110001000000000000000001001111111000000000000000000000100000000000001000100001100000011001111000000001000100000000000000000100000001100000000000000000000010000000000001000010001110000001100000100000000100000000000000000000010011111110000000000000000000001000000000000100001000111000000111100010000000011001000000011000000001000000001000001111111111111111111111111111110000100001100000011000001000000001000100000001100000000100000000100000000000000000000000000000000001000010000110000001100000100000000100111111111110010000010000000010000000000000000000000000000000000100001100011100000110000000000000010001111000011000000001111100001111111111111111000000000000000000000000110001100000011000000000000001000111100001100000000100000000111111111111111111111111100000000000000011000010000001111111111101110100011100000000000000010000000010000000000011111111111111111110000000001100001000000110000000000011010001110000000000000001111100001000000000001111111111111111111111111110110000000000011111111111111101000110000000000000000100000000100000000000100011111111111111111111111111100000000000000000000000000100011000000000000000010000000010000000000010000000000011111111111111111110000000000001000001000001010001000000000000000001000000001000000000001000000000000000000111111111111100000000000000000000000001000100001000000000000100000000100000000000100000000000000000000000111111110000000000000000000000000100010000000000000000010000000010000000000000000000000000000000000000000011101000000000000000000000010001000000000000000111111100001000000000001000000000000000000000001111111100000000000000000000000001000111111000000000011100000000100000000000100000000000000000011111111111110000000000000010000010000100010000000000000001010000000010000000000010000000000011111111111111111110000000000000000000000000010001001111111111111111111100011000000000001000111111111111111111111111111000000001111111111111111001000100000000000000000000000000100000000000111111111111111111111111111011000000000110011001001000010100010000000000000000000000000010000000000011111111111111111111000000001100001000010000100000100001010001111111111111111111111111111111111111111111111111111000000100000000110000100001000010000100010101000111111111111111111111111111111111111111111110000000000000010000100011000110000100001100010001010100010000000000100000000000000010000000000000000000000000000001000010001100011000010000101111111001010001000000000010000000000000001111111111111111111000000110000100001000100001100001001110000100010101000100000000001000000000000000100000000001000000000000011000010000100010000110000100100000010001010100000011001100100000000000000011111111111100111111111111100011100010001000111000010011100010001001010000001000010010000000000000001111111111110000000000000110000000001000100011100001001010011101111001000111101101111000000000000000110011000001000000000000011000010000100010000110000100001101000110010100010010000100100000000000000010000111111111111110000000000001000010001000011000010000110001011001010001001100110010000000000000001000000000110000000000000111111111111000110001100001000010000111000101000100000000001000000100000000100001111111000000000000011111111111100011000110000100001111010010010100010000000000100000010011100011001100000100000000000001000000100010001100001000010000100010101001010001000000000010000001110010001100110000010000000000000100000010001000110000100001000010010010010101000100110011001000011100111000110011000001000000000000010000001000100011000000000100001010000101010100010010000100100110011100100010000100000100000000000001000000100010001110000000010000100110100000010001111011011111100111001110111000011001110000000000000100000000001000111000000001000010001010000001000100100001001001100111001000100000000000000000000001110011011000000011110000001100001111111111111100010011001100100001110011100010000000000000000000000001001000100010001111000000110000110000111100010001000000000010000001110010001000000000000000000000111111101011111111111110100011000011101111111011000100000000001000000100111000100000000000000000000000010010001000000010000000000100001100001000000100011111111111100000010000000010000111001100000000000111001101100000001000000000010000110000100000010001000000000010000000000000001000010000010000011000000100000000000000100000000001000011000010000001000100000000001000000000000000110011000001000011110000010000000000000000000000000100001100001000000100010011001100100000000000000011001100000100001111000001000000000000000000000000010000110000000000010001001000010010000000000000001100110000010000011000000100000000000000000000000001000011000000000001000000101101111000000000000000100001111111000000000000010001111111111111000000000100001100000000000100000010000100100000000000000010000000001100000000000001000110000000000000000110011001111111100000011111111100110010000000000000001000011111111111111000000100011111111111111100000001111111000000000001100100000000001101000000000000110011000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000